LaTeX source for the DirectGMA paper

Matthias Vogelgesang 8ae8aadf31 Add latency histogram for 1 KB packet 8 سال پیش
data f78a913edc Add new latency plot 8 سال پیش
figures ee5da5349c Fix some annotations 8 سال پیش
.gitignore 51440c9a0d Add preliminary intra-GPU throughput numbers 8 سال پیش
JHEP.bst ae6ee5d7e2 Initial commit 8 سال پیش
JINST.cls ae6ee5d7e2 Initial commit 8 سال پیش
Makefile 8ae8aadf31 Add latency histogram for 1 KB packet 8 سال پیش
literature.bib 9c62fe4187 Started reworking based on Michele's comments, +Suren suggestion for references 8 سال پیش
paper.tex 8ae8aadf31 Add latency histogram for 1 KB packet 8 سال پیش